愛爾蘭商益華科技股份有限公司台灣分公司 企業形象

愛爾蘭商益華科技股份有限公司台灣分公司

外商竹科

公司介紹

產業類別

聯絡人

HR

產業描述

電腦軟體系統相關業

電話

暫不提供

資本額

傳真

暫不提供

員工人數

650人

地址

新竹市力行六路二號 (新竹科學園區)


**關於Cadence**   Cadence成立於1988年,在運算軟體領域擁有超過35年的經驗,是當今電子設計的領導者。公司以智慧系統設計(Intelligent System Design)為核心策略,提供軟體、硬體及半導體IP,協助電子設計從概念走向應用實現。Cadence服務全球客戶,從晶片、印刷電路板至整體系統打造尖端與創新的電子產品,以應用於行動、消費性電子、超大型運算、5G通訊、汽車、航太、工業及健康醫療等當今最活躍的市場。Cadence總公司位於加州聖荷西市,全球人數已超過11,000人,並在全球各地設有營業處、設計中心和研究部門,以服務全球的電子產業客戶。   Cadence台灣辦公室於民國75年設立於新竹科學園區,為深耕台灣最久之EDA公司,並在新竹、竹北與台北設立據點。至今人數已超過650位,美國總部各事業群之研發團隊都在台灣設有研發團隊代表。由於台灣是半導體晶圓製造與封裝測試產業的全球重鎮,因此對Cadence的全球布局來說,台灣團隊不管在客戶合作、研發人才培育、以及業務擴展等各方面都扮演著舉足輕重的角色。   Cadence秉持以人為本的文化,用信任與透明,打造高效團隊,並以調適性、啟發性的企業領導文化與其為員工打造的卓越職場,以及竭力技術提升與產品創新的優良歷史。 更多有關Cadence介紹,歡迎連結: www.cadence.com 。 **Cadence Taiwan 獲獎實績** √ 連續十年榮獲財星雜誌(FORTUNE)評列「百大最佳職場」之肯定。 √ 連續多年榮獲卓越職場®研究所的「台灣最佳職場™」(Best Workplaces in Taiwan)中榮獲台灣十大「最佳職場」之認證 √ 2024 獲經濟部頒贈電子資訊國際夥伴績優廠商「綠色系統夥伴獎」 √ 2024在亞洲金選獎(EE Awards Asia)中,Dynamic Duo III獲得「年度最佳EDA獎」和Voltus InsightAI獲得「金選法人研發獎」。 √ 2023 獲第十六屆台灣企業永續獎(TCSA) 頒贈 「台灣十大永續典範外商企業獎」 √ 2022獲中華民國商業總會頒贈金商獎,評選為「優良外商」 √ 2023、2021獲經濟部頒贈電子資訊國際夥伴績優廠商「軟性價值夥伴獎」 √ 2022在亞洲金選獎(EE Awards Asia)中獲得亞洲區「最具影響力企業」和台灣區「金選卓越影響力企業」。 **We're Cadence!! ** Cadence is a pivotal leader in electronic systems design, building upon more than 30 years of computational software expertise. The company applies its underlying Intelligent System Design strategy to deliver software, hardware, and IP that turn design concepts into reality. Cadence customers are the world’s most innovative companies, delivering extraordinary products from chips to systems, chemicals to drugs, and specification to manufacturing for the most dynamic market applications, including hyperscale computing, 5G communications, automotive, mobile, aerospace, consumer, industrial, and life sciences. We pride ourselves on creating and sustaining a company culture that drives innovation and business success. Cadence is recognized as a Great Place to Work around the world, including as one of the Fortune “100 Best Companies to Work For” over the last nine years.

顯示全部

主要商品 / 服務項目

1/5
愛爾蘭商益華科技股份有限公司台灣分公司 商品/服務
2/5
愛爾蘭商益華科技股份有限公司台灣分公司 商品/服務
3/5
愛爾蘭商益華科技股份有限公司台灣分公司 商品/服務
4/5
愛爾蘭商益華科技股份有限公司台灣分公司 商品/服務
5/5
愛爾蘭商益華科技股份有限公司台灣分公司 商品/服務

√ 從系統設計所涵蓋的積體電路(IC)、封裝與電路板間無縫設計全流程解決方案。 √ 從晶片、系統跨到生技、製藥等領域,提供包含矽智財(IP)、單晶片到封裝,乃至系統相對應解決方案,在世界各地實現眾多先端設計創新。 √ 提供前各項產品有開之技術服務、顧問及訓練。

公司環境照片(10張)

愛爾蘭商益華科技股份有限公司台灣分公司 企業形象

福利制度

法定項目

其他福利

Cadence 關注員工的福利和幸福感,為員工提供最好的支持,並協助員工在工作與生活中取得最佳平衡點 。 <適用Cadence台灣正式員工> 【優於法令的給假制度】 √ 新人到職即享10天特休,到職當年度按比例計 √ 優於業界之彈性休假天數 √ 每年提供全薪病假30天與志工假5天 √ 服務達特定年資給予額外的特別休假 【健全完善的身心保障】 √ 員工/配偶/子女皆享醫療照護團體保險 (公司全額負擔費用) √ 提供一年一次多樣化健康檢查方案與健檢補助 【其他福利】 √ 員工購股方案 (ESPP) √ 員工推薦計畫 √ 提供員工協助方案(EAP)與員工關懷相關講座活動 √ 生日禮金/端午禮金/中秋禮金 √ 社團活動 √ 員工旅遊/家庭日 √ 健身房

工作機會

每頁 20 筆
廠商排序
5/12
新竹市經歷不拘大學待遇面議
*This is a 1-year contract position.* Below is the list of key administrative duties.  Events and group activities planning  Business Travel arrangement for managers  Support BU executive visits  BU meetings support  Assist expense filing for managers (as needed)  Visa application for BU employees as needed  Annual Yearbook for organization  Help with CapEx. Work with Cadence IT and Customer IT (as needed)  Support Customer TRM and MRM meetings (as needed) Skills • Microsoft Office (Powerpoint, Excel, Word) • Microsoft Teams, Zoom • Good organization and people skills • Team player
應徵
4/25
新竹縣竹北市經歷不拘大學待遇面議
*This is a 1-year contract position.* Job Description: 1. Assist sales team to monitor customer PO/PQ Process 2. Support sales team to generate license report and follow up License due date 3. Support sales team for Vendor Code Form Filling and Customer Vendor Account Creation 4. Support customer tax invoice generation, payment document preparation and payment collection and coordination with Credit Team 5. Other sales support tasks requested by sales team and manager Requirements: 1. College degree with related major 2. Fluent English both in written and oral 3. Computer skills including the Office and e-mail at a highly proficient level 4. Related working experience in Sales support and familiar with the operation ERP SW would be a plus 5. Self-motivated, proactive, good communication skill and have good team spirit
應徵
5/12
新竹市經歷不拘大學以上待遇面議
[益華暑期實習計畫開跑囉] 一年一度的Cadence Summer Intern機會又來啦! 邀請想以C/C++/ Python/其他script language做軟體開發的同學,展現你/妳的專才技能! 實習時間: .7/1~8/28 .一周五天。 申請對象: 資工/電機/電子工程相關學系 在學或畢業之學生 Job Description: The intern software engineering position will support and improve the implementation the state of art extraction products in a fast-paced, small team environment. Experience in C/C++ coding, with EDA background is a big plus!
4/25
新竹市經歷不拘碩士以上待遇面議
Essential: The position requires MSEE, or equivalent, with significant and deep industry experience in designing complex protocols and/or hardware systems. MUST have excellent communication skills with both written and spoken English. Fluent and extensive RTL design knowledge using Verilog/SystemVerilog is required along with experience using RTL verification tools and flows. Must excel in and demonstrate solid debugging experience/skills. Emotionally intelligent collaborator and communicator. Experience with team-wide collaboration tools and processes. Drive and ability to schedule workload and plan own tasks effectively as well as coordinate with and adapt to other's needs and priorities when needful. Agile! Adaptive! Strongly recommended: Verification experience using Cadence simulation and/or emulation products is highly desired. Programming experience with scripting languages like Perl, TCL, C-shell is strongly recommended. Experience in memory sub-system design and operation is strongly recommended.
應徵
5/12
新竹市2年以上碩士以上待遇面議
Job description: This position is for an R&D engineer, who will be involved in developing Innovus Implementation System. The position involves interaction and collaboration with a highly motivated global R&D team. Essential Job Functions: You will be responsible for designing, developing, troubleshooting and debugging software programs in the areas of P&R. Work closely with product engineers/technical sales to provide engineering solutions and workarounds to make customers successful Minimum Qualifications: • Highly technical engineer with excellent problem solving skills • C/C++ software development experience in Linux environment • Strong understanding and extensive usage of data structures and algorithms • Great communication skills and a strong desire for working with customers • MS (Ph.D. track a plus) in Computer Science or Electrical Engineering. Preferred: • Knowledge of physical design algorithms,. • Prior R&D experience working on IC physical designs tools • Hands on experience using the above physical design tools and knowledge of physical design flows a plus. • Experience with Tcl and other scripting languages
應徵
5/12
新竹市2年以上大學以上待遇面議
Job Description: This position is in Cadence Pegasus Physical Verification R&D team in Hsinchu, Taiwan. The candidate for this position will be developing design rule check (DRC) and FILL decks for advanced nodes of semiconductor manufacturing, The job involves creating quality check (QC) patterns, writing physical verification DRC and FILL rules and developing decks consisting of these rules. It also involves testing these decks on real customer designs and troubleshooting the deck and tool issues, providing feedback to Pegasus Foundry Team, Pegasus R&D, and foundry partners. Requirement: At least 2 years of previous experience with DRC or FILL deck development, and BS or MS degree in engineering.
應徵
5/12
新竹市經歷不拘碩士以上待遇面議
Position Description: The candidate will focus on Chip, IC Packaging, Board space and 3D-IC application development. The candidate will work with customers to deeply understand their requirements and discuss these with the factory to develop the required software. Preferred Qualifications: • Master's degree in Computer Science, Electrical Engineering, or a related field. • Experience in software performance, software capacity, software usability, or EDA software development. Position Requirements: • Programming language: Java (major), C/C++ (minor) • Common Skills: shell script, or Tcl script • Strong background in one of the following: - Database Optimization Development □ Focus Domain: Compiler, Computer Architecture, Database Theory, Virtual Machine. - Database Core Development □ Focus Domain: Database Theory, Information Theory, Information Security. - Design Rule Development □ Focus Domain: Computational Geometry, Linear Algebra, Calculus. - Software Quality Development □ Focus Domain: Software Testing, Compiler, Programming Language Design. - Data Processing Development □ Focus Domain: Parallel Programming, Compiler, User Interface Design, Computer Graphics. - EDA functionality development □ Focus Domain: Design Flow Automation, Software Integration • Knowledgeable in UNIX and Windows • Knowledgeable in Electronic Device Nomenclature • Ability to work individually or with a small distributed team • Ability to interact professionally with the customer • Ability to profile the values, requirements, issues, and risks. • Ability to communicate in English. *If you're interested in this role, please click link below to learn more about Integrity 3D-IC Platform. https://www.cadence.com/zh_TW/home/tools/digital-design-and-signoff/soc-implementation-and-floorplanning/integrity-3dic-platform.html
應徵
5/12
新竹縣竹北市5年以上大學待遇面議
Job description: • Drive key customer engagements success and deliver good quality solution on time. • Regularly join customer meetings and communication to well conscious project requirement and meet expectation. • Work closely with multiple RD/PE teams to drive and influence product development to fulfill customer requirements. • Manage beta programs, author documentation and track customer issues. • New advanced feature verification, customer trainings Job Requirements: • Candidate must have 5+ years relevant industry experience with a MSEE -or- 7+ years’ experience with a BSEE. • In-depth knowledge & industry experience in signal/power integrity(SI/PI) analysis for IC package and PCB designs with Sigrity PowerSI, SystemSI, Clarity, etc. • Basic perl, python coding capability for analysis flow automation for Sigrity Tools • Patient to listen feedback and has strong technical insight to identify the root cause. • Good oral and written communication skills on Chinese and English
應徵
5/12
新竹市經歷不拘大學待遇面議
Job Description We are seeking a talented individual who will participate Virtuoso PDK (Process Design Kit ) development, quality and PDK applications related projects with leading foundry. This position will support Virtuoso PDK quality delivery, SKILL programming in task delivery, also provide PDK applications related support and interact with customers to overcome challenge in a fact-pace environment. Position Requirements: • MS degree in Electrical Engineering, Computer Engineering or similar areas. • Experience in analog design flow support or PDK delivery. • Knowledge and experience with analog design layout/simulation/digital/analog IC design flow, with layout domain knowledge would be a plus. • Experience in Linux shell environment and script programming, such as Perl and Tcl preferred. • Good communication skills in English. • Desire to learn, to take the challenge and to be a team player.
應徵
5/12
新竹市經歷不拘大學以上待遇面議
Position Description Developing precise test cases for DRC rules based on foundry decks with good quality by Virtuoso Working closely with PE and R&D for new features/enhancements of Pegasus DRC/FILL/LVS/PERC including deck coding/QC pattern generation Assuring the quality of physical validation tool Pegasus by analyzing existing test suites Validating code changes and integrating customer cases as part of regression test suite Requirements Good at Virtuoso for simple pattern creation (familiar with SKILL is a plus) With experience in developing and supporting physical verification activities in DRC/FILL area Solid knowledge of foundry layout rules and device identification concepts Working experience with UNIX and knowledge for shell/tcl scripting languages (familiar with Perl and/or python is a plus) Should be able to work independently and have adequate personality & communication skills to cooperate with cross functional groups
應徵
5/12
新竹市1年以上碩士以上待遇面議
The Cadence Protium team is looking for talented software engneer to join and contribute to our Protium compiler router development. You’ll have a great opportunity to make a difference by applying your engineering and team collaboration skills to optimize the compiler for compile time, fclk performance and memory efficiency. Requirements · MS with 3+ years or PhD with 2+ years in engineering, computer science or related field. · Strong understanding of data structures, algorithms and databases. · Demonstrated proficiency in C++, gdb debugging, and general software development skills
應徵
5/12
新竹市經歷不拘大學以上待遇面議
Position Description • Develop PEGASUS/PVS DRC, FILL, LVS, LPE rule decks and RCX flow for worldwide foundries. • Manage onsite technical qualification to ensure both PEGASUS/PVS decks and tools are officially qualified by foundries. • Collaborate closely with early adoption customers to track and resolve product issues • Establish communication channels with R&D to capture customer needs and requirement spec. • Work with R&D to enhance and improve PEGASUS/PVS, positioning it as a leading edge Physical Verification tool Position Requirements • B.S. in Electrical Engineering (EE), Computer Science (CS), or related area (or equivalent) and 3 - 5 years of experience with Physical Verification tool support/development OR • M.S. in EE or CS, or related area (or equivalent) and 1 - 3 years of experience with Physical Verification tool support/development • Profound knowledge with Foundry Design Rules and semiconductor fabrication process • Ability to develop PEGASUS/PVS rule deck for worldwide foundries, ensuring quality, performance, and compliance with schedules and qualification requirements. • Proficiency in TCL and PERL scripting is required • Strong English communication skills. • Software development experience preferred; familiarity with Cadence SKILL programming is a plus. • Experience with IC design and CAD support is advantageous.
應徵
4/14
新竹市經歷不拘碩士待遇面議
This position is for an R&D engineer, who will be involved in developing Innovus Implementation System. The position involves interaction and collaboration with a highly motivated global R&D team. Essential Job Functions: The candidate will be responsible for designing, developing, troubleshooting and debugging software programs in the areas of P&R. Work closely with product engineers/technical sales to provide engineering solutions and workarounds to make customers successful Minimum Qualifications: Highly technical engineer with excellent problem solving skills C/C++ software development experience in Linux environment Strong understanding and extensive usage of data structures and algorithms Great communication skills and a strong desire for working with customers MS in Computer Science or Electrical Engineering. Preferred: Knowledge of physical design algorithms,. Prior R&D experience working on IC physical designs tools Hands on experience using the above physical design tools and knowledge of physical design flows a plus. Experience with Tcl and other scripting languages
應徵
5/12
新竹市5年以上大學以上待遇面議
The Jasper engineering team is seeking a Product Engineer to help drive the industry’s leading formal verification tool. The Product Engineer (PE) bridges the gap between customers, R&D, and field Application Engineers (AEs). In this highly creative and innovative role, they are responsible for ensuring that existing flows and apps meet the ongoing needs of our customers, while also developing flows and requirements to solve new verification challenges. The PE will collaborate closely with both customers and R&D to drive specification, implementation, and evaluation/benchmarking of the new solutions. In addition, the PE will be responsible for the roll-out of new features to AEs and customers, and in some cases will play a pivotal role performing hands on work, (remote or onsite) to enable key customers to achieve their verification goals with very high performance & efficiency. This position gives abundant exposure to work on and influence the latest design styles and verification methodologies that are used by large semiconductor companies. This job may occasionally involve worldwide travel to attend meetings or conferences, or to assist with product demonstrations and evaluations at customer sites. Desired Skills and Experience • The candidate should possess minimum BE/B. Tech engineering in CS or EE with minimum 8 years of industry experience. • A general understanding of Assertion Based Verification (ABV) is a must. • Hands on experience with using a formal property verification tool such as Jasper is a must. • Hands on design experience using Verilog, System Verilog, VHDL is a must. • Understanding of the differences between synthesizable vs non-synthesizable coding styles is a must. • Hands on experience using an HDL simulator such as Xcelium or Incisive etc. is a plus. • Knowledge or experience with high level modeling languages such as SystemC is a plus. • Hands on experience with common scripting languages such as Python, TCL, sh etc. is a must. • Knowledge of Verification IP and common protocols such as AMBA is a plus. • Knowledge of sign-off methodologies using code & functional coverage is a plus. • Highly technical & hands on engineer with an ability to partner with key customers and provide expert support to field application engineers. • Travel will be required to visit Cadence sites and/or work at customer sites • Highly organized, self-motivated individual with demonstrated ability to multi-task • Very good communication skills and a strong desire for working in a global environment with customers, developers, marketing and sales. • Passionate about adopting and promoting new technologies and making customers successful. • Successful in building and delivering training content on rolling out new products/methodologies
應徵
5/12
新竹縣竹北市3年以上大學待遇面議
Position Description: 1. To provide key technical support in digital IC design synthesis products. 2. To demonstrate strong ability and to be hands-on in synthesis/DFT, and low power methodology. 3. To run benchmarks, characterize problems, and support key customer engagements. 4. To work with team, customer and R&D on new methodologies and flow refinement. Position Requirements: 1. Master with 3-5 years working experience or Bachelor with 7+ years’ experience in IC design. Cadence Genus experience will be a plus. 2. Understanding of synthesis/DFT techniques, constraint and timing analysis is required. Knowledge of power analysis & optimization will be a plus. 3. Experiences in synthesis/LEC/DFT/power analysis tools. 4. Advanced node experiences and familiar with APR tools will be a plus. 5. Good communication in English and Chinese, good confidence and good self-motivation. 6. Be familiar with shell/perl/tcl etc. script language.
應徵
5/12
新竹縣竹北市3年以上大學待遇面議
Position Description: • Work closely with Sales team to identify and scope opportunities for Cadence Emulation and Prototyping products. • Plan, execute and manage key technical evaluations and benchmark with existing and potential customers. • Train, ramp-up and accompany customer project. • Conduct basic and advanced trainings, presentations and demos as necessary. • Providing technical expertise to address clients’ queries, which need expert involvement. • Aligned closely with corporate engineering and sales/marketing team on customer requirement for product direction/improvement. Position Requirements: • Minimum 3 years hands-on expertise on SoC design & verification technique • Design experience in Verilog/VHDL for IP or SoC chip level is required • Knowledge of System Verilog/VHDL and HDL simulators is required • Experience with hardware emulator or FPGA prototyping is a big advantage • Knowledge of Unix and Linux is highly desired • Familiar with shell/python/tcl etc. script language is a plus • Advanced Verification Methodology like UVM is a plus • Strong verbal and written communication skills in English • Strong teamwork skills with good human relationship • Ability to travel within Asia Pacific region for onsite customer visits is a plus.
應徵
5/08
新竹縣竹北市3年以上大學待遇面議
Job Description: As a Verification IP Engineer for RSS Verification IP team, you will be expected or tanning to be an expert in a specific domain of Verification IP family- protocol and product-wise. The Application Engineer main role is to help accelerate VIP portfolio adoption at Cadence’s customers by supporting pre-sales technical activities. To ensure that, one must have strong verification expertise and understand customer design and verification flows. As a VIP and protocol expert, the Application Engineer drives product knowledge transfer to customer, providing training and requirements collaterals. The Application Engineer is expected to collaborate with other team members (R&D, PE and support) to ensure the design is in line with the technical and quality requirements set for the customer’s requirement. Requirements and Qualifications: • BS/MS with Electrical engineering or Computer Science. • At least 3-5 years of experience with Verification or Design Experience. • Strong background on functional verification fundamentals, environment planning, test plan generation, environment development is a must. • UVM based functional verification environment development is required. • Familiar with anyone kind of standard protocol is desirable. • Team orientation, mature work attitude, and good judgment under pressure. • Position requirements, propose solution, evaluate prototype flow and drive to success • Plan, execute and manage key technical evaluations and benchmarking • Conduct basic and advanced trainings, presentations and demos as necessary
應徵
5/12
新竹市經歷不拘大學待遇面議
Position Description -Responsible for the development of Pegasus, our next-generation massively parallel physical verification system, with focus on the Fill and DRC product development and foundry enablement. -Performs as individual contributor on case analysis, problem solving, validation and documentation. -Working closely with PE and QPV for the DRC/FILL deck developing and QC pattern generation. Requirements -Solid background in computational geometry and graph algorithm. -Excellent programming skill in C/C++/Python. -Some knowledge on the foundry qualification of EDA tool is preferred. -Good English reading and writing skills. -Good communication skills.
應徵
5/12
新竹市經歷不拘碩士以上待遇面議
Position Description: - Plan and develop strategy to test SPICE/Fast-SPICE simulator. - Responsible for developing procedures, test cases, and designs to test, troubleshooting, and debugging to make sure the Spectre products are performing up to the specifications and upholding software quality standards. - Work closely with a group of professionals to enhance product quality and usability. - Build tools to assist and automate testing using scripting languages. Position Requirements: - MS in Microelectronics, EE, or relevant. - Understanding of semiconductor concepts and Custom IC design. - Exposure to Cadence Virtuoso Design Environment and/or analog circuit simulation is a plus. - Experience in scripting language like Python, Perl, or CSH is a plus - Teamwork and good communication skills.
應徵
5/12
新竹市經歷不拘大學待遇面議
1. Deliver the EM techfile with TSMC for mutual key customer. 2. Deliver the power analysis (IR-drop/EM) methodology and flow in the foundry advanced process technologies. 3. Develop or integrate digital design flow/tools with Cadence methodologies and technologies into Foundries’ advanced process technologies to benefit mutual customers of fabless design community. 4. Ability to analyze, communicate resolution of technical issues for customers and team members.6. 5. Proactively seeks more information to address issues/problems. Understands how and where to obtain and utilize resources effectively to resolve issues and problems. Requirement: Technical experience and knowledge listed below are required: - Transistor-level flow knowledge - IR-Drop/EM analysis experience is the plus - Cell-based flow experience is the plus - Full-customer layout experience is the plus Have used Cadence Tool Virtuoso/Voltus-Fi/Quantus will be much preferred. Good command of oral and written English is required. Passion, teamwork and customer focus. “Can-Do” attitude.
應徵
5/12
新竹市經歷不拘碩士以上待遇面議
If you are an outstanding Engineering or Computer Science graduate with a BS or MS degree with an appeal toward backend software development; are interested in developing highly sophisticated software for debugging the next generation chip designs; want to be part of a multi-national team of experts who develop best-in-class technologies; are eager to learn core software expertise; are able to work independently and not afraid of dealing with software challenges under schedule pressure then you may want to consider joining our highly geographically diverse team. As a Software Engineer, you will be a part of Verisium Debug Infrastructure Team. As a developer on our team you will work with some of the industry experts to develop debug tools that can deal with ultra-complex hardware designs yet still need to be intuitive, usable, robust and with high capacity. Job requirements • C/C++ development in a Unix environment • OOP/OOD • Design Patterns • Strong English language skills • Team player Advantages • Experience with front-end hardware design cycles (simulation/emulation/debug) • Experience with HDLs: SystemVerilog/VHDL/SystemC
應徵
4/09
新竹縣竹北市經歷不拘碩士以上待遇面議
About the team: We design and develop automated advanced substrate router for 3D-IC and IC Packaging applications, focusing on the advanced manufacturing technologies. Need coordinate with other R&D teams and customers to keep development and product plans on track. Requirements: - PhD, Masters or Bachelors in EECS or Computer Science - Have EDA Algorithmic depth with Solid proficiency in relevant technologies and programming languages (including but not limited to C, C++) - Expertise in algorithms including: Graph, Routing, Computational geometry, Partitioning and Placement, Object-oriented - Good communication skills and a problem-solving mindset Bonus items: - Experience in parallel programming and distributed computing - Experience in working on DRC, Parasitic Extraction - Experience with Applied Graph Theory, Network Flows
應徵
智能客服
您好,我是您的智能客服 找頭鹿有任何問題都可以問我喔!